ボードデザインについての資料ページです。
Top-Level Entity
module RamCartrishBoard
(
inout wire [7:0] sfcram_DATA,
input wire [23:0] sfc_ADDR,
input wire sfc_nROMSEL,
input wire sfc_nRD,
input wire sfc_nWR,
input wire sfc_SYSCLK,
input wire sfc_MCLK,
input wire sfc_nIRQ,
input wire sfc_nRESET_CIC,
input wire sfc_nRAMSEL_REFRESH,
output wire io_nOE_DATA,
output wire io_DIR_DATA,
output wire io_nOE_EXPAND,
output wire io_DIR_EXPAND,
output wire io_nOE_ADDRCTRL,
output wire io_DIR_ADDRCTRL,
input wire io_Vdet, // 1:Cartridge 0:Standalone
output wire [3:0] ram_nWE, // 4Banks
output wire [3:0] ram_nCS, // 4Banks
output wire ram_nOE, // Bank common
output wire [20:0] ram_ADDR, // Bank common
input wire spi_MOSI,
output wire spi_MISO,
input wire spi_CLK,
input wire spi_CS,
output wire [3:0] dbg_LED, // 1:Light 0:OFF
input wire [1:0] dbg_SW // 1:OFF 0:ON
);
endmodule
Pin Assign Table
Pin# | Signal-name | Direction |
PIN_6 | spi_CS | Input |
PIN_7 | spi_MISO | Output |
PIN_8 | spi_MOSI | Input |
PIN_10 | io_Vdet | Input |
PIN_11 | sfcram_DATA[7] | Bidir |
PIN_12 | sfcram_DATA[3] | Bidir |
PIN_13 | sfcram_DATA[6] | Bidir |
PIN_14 | sfcram_DATA[2] | Bidir |
PIN_17 | dbg_LED[0] | Output |
PIN_21 | sfcram_DATA[5] | Bidir |
PIN_22 | sfcram_DATA[1] | Bidir |
PIN_24 | sfcram_DATA[4] | Bidir |
PIN_25 | sfcram_DATA[0] | Bidir |
PIN_26 | sfc_SYSCLK | Input |
PIN_27 | spi_CLK | Input |
PIN_30 | dbg_LED[1] | Output |
PIN_32 | sfc_nWR | Input |
PIN_33 | sfc_nRD | Input |
PIN_38 | sfc_nROMSEL | Input |
PIN_39 | sfc_nIRQ | Input |
PIN_41 | sfc_ADDR[0] | Input |
PIN_43 | sfc_ADDR[23] | Input |
PIN_44 | sfc_nRESET_CIC | Input |
PIN_45 | io_nOE_DATA | Output |
PIN_46 | io_DIR_DATA | Output |
PIN_47 | sfc_ADDR[1] | Input |
PIN_48 | dbg_LED[2] | Output |
PIN_50 | sfc_ADDR[22] | Input |
PIN_52 | sfc_ADDR[2] | Input |
PIN_54 | sfc_ADDR[21] | Input |
PIN_55 | sfc_ADDR[3] | Input |
PIN_56 | sfc_ADDR[20] | Input |
PIN_57 | sfc_ADDR[4] | Input |
PIN_58 | sfc_ADDR[19] | Input |
PIN_59 | sfc_ADDR[5] | Input |
PIN_60 | sfc_ADDR[18] | Input |
PIN_61 | dbg_LED[3] | Output |
PIN_62 | sfc_ADDR[6] | Input |
PIN_64 | sfc_ADDR[17] | Input |
PIN_65 | sfc_ADDR[7] | Input |
PIN_66 | sfc_ADDR[16] | Input |
PIN_69 | sfc_ADDR[8] | Input |
PIN_70 | sfc_ADDR[15] | Input |
PIN_74 | io_DIR_EXPAND | Output |
PIN_75 | io_nOE_EXPAND | Output |
PIN_76 | io_nOE_ADDRCTRL | Output |
PIN_77 | io_DIR_ADDRCTRL | Output |
PIN_78 | sfc_ADDR[9] | Input |
PIN_79 | sfc_ADDR[14] | Input |
PIN_80 | dbg_SW[0] | Input |
PIN_81 | sfc_ADDR[10] | Input |
PIN_84 | sfc_ADDR[13] | Input |
PIN_85 | sfc_ADDR[11] | Input |
PIN_86 | sfc_ADDR[12] | Input |
PIN_87 | sfc_nRAMSEL_REFRESH | Input |
PIN_88 | sfc_MCLK | Input |
PIN_89 | ram_ADDR[15] | Output |
PIN_90 | ram_nWE[3] | Output |
PIN_91 | ram_ADDR[14] | Output |
PIN_92 | ram_ADDR[13] | Output |
PIN_93 | ram_nWE[2] | Output |
PIN_96 | ram_ADDR[12] | Output |
PIN_97 | dbg_SW[1] | Input |
PIN_98 | ram_ADDR[11] | Output |
PIN_99 | ram_nWE[1] | Output |
PIN_100 | ram_ADDR[10] | Output |
PIN_101 | ram_ADDR[9] | Output |
PIN_102 | ram_nWE[0] | Output |
PIN_105 | ram_ADDR[8] | Output |
PIN_106 | ram_nCS[3] | Output |
PIN_110 | ram_nCS[2] | Output |
PIN_111 | ram_nCS[1] | Output |
PIN_113 | ram_nCS[0] | Output |
PIN_114 | ram_ADDR[7] | Output |
PIN_118 | ram_ADDR[6] | Output |
PIN_119 | ram_nOE | Output |
PIN_120 | ram_ADDR[5] | Output |
PIN_121 | ram_ADDR[16] | Output |
PIN_122 | ram_ADDR[4] | Output |
PIN_124 | ram_ADDR[17] | Output |
PIN_127 | ram_ADDR[3] | Output |
PIN_130 | ram_ADDR[18] | Output |
PIN_131 | ram_ADDR[2] | Output |
PIN_132 | ram_ADDR[19] | Output |
PIN_134 | ram_ADDR[1] | Output |
PIN_135 | ram_ADDR[20] | Output |
PIN_140 | ram_ADDR[0] | Output |