RAM Cartrish Design

ボードデザインについての資料ページです。

Top-Level Entity

module RamCartrishBoard
(
	inout		wire	[7:0]		sfcram_DATA,
	input		wire	[23:0]	sfc_ADDR,
	input		wire				sfc_nROMSEL,
	input		wire				sfc_nRD,
	input		wire				sfc_nWR,
	input		wire				sfc_SYSCLK,
	input		wire				sfc_MCLK,
	input		wire				sfc_nIRQ,
	input		wire				sfc_nRESET_CIC,
	input		wire				sfc_nRAMSEL_REFRESH,

	output	wire	io_nOE_DATA,
	output	wire	io_DIR_DATA,
	output	wire	io_nOE_EXPAND,
	output	wire	io_DIR_EXPAND,
	output	wire	io_nOE_ADDRCTRL,
	output	wire	io_DIR_ADDRCTRL,
	input		wire	io_Vdet,						// 1:Cartridge 0:Standalone

	output	wire	[3:0]		ram_nWE,			// 4Banks
	output	wire	[3:0]		ram_nCS,			// 4Banks
	output		wire				ram_nOE,			// Bank common
	output	wire	[20:0]	ram_ADDR,		// Bank common

	input		wire	spi_MOSI,
	output	wire	spi_MISO,
	input		wire	spi_CLK,
	input		wire	spi_CS,
	output		wire	[3:0]		dbg_LED,			// 1:Light 0:OFF
	input		wire	[1:0]		dbg_SW			// 1:OFF   0:ON
);



endmodule

Pin Assign Table

Pin#Signal-nameDirection
PIN_6spi_CSInput
PIN_7spi_MISOOutput
PIN_8spi_MOSIInput
PIN_10io_Vdet Input
PIN_11sfcram_DATA[7]Bidir
PIN_12sfcram_DATA[3]Bidir
PIN_13sfcram_DATA[6]Bidir
PIN_14sfcram_DATA[2]Bidir
PIN_17dbg_LED[0] Output
PIN_21sfcram_DATA[5]Bidir
PIN_22sfcram_DATA[1]Bidir
PIN_24sfcram_DATA[4]Bidir
PIN_25sfcram_DATA[0]Bidir
PIN_26sfc_SYSCLKInput
PIN_27spi_CLKInput
PIN_30dbg_LED[1]Output
PIN_32sfc_nWRInput
PIN_33sfc_nRDInput
PIN_38sfc_nROMSELInput
PIN_39sfc_nIRQInput
PIN_41sfc_ADDR[0]Input
PIN_43sfc_ADDR[23]Input
PIN_44sfc_nRESET_CICInput
PIN_45io_nOE_DATAOutput
PIN_46io_DIR_DATAOutput
PIN_47sfc_ADDR[1]Input
PIN_48dbg_LED[2]Output
PIN_50sfc_ADDR[22]Input
PIN_52sfc_ADDR[2]Input
PIN_54sfc_ADDR[21]Input
PIN_55sfc_ADDR[3]Input
PIN_56sfc_ADDR[20]Input
PIN_57sfc_ADDR[4]Input
PIN_58sfc_ADDR[19]Input
PIN_59sfc_ADDR[5]Input
PIN_60sfc_ADDR[18]Input
PIN_61dbg_LED[3]Output
PIN_62sfc_ADDR[6]Input
PIN_64sfc_ADDR[17]Input
PIN_65sfc_ADDR[7]Input
PIN_66sfc_ADDR[16]Input
PIN_69sfc_ADDR[8]Input
PIN_70sfc_ADDR[15]Input
PIN_74io_DIR_EXPANDOutput
PIN_75io_nOE_EXPANDOutput
PIN_76io_nOE_ADDRCTRLOutput
PIN_77io_DIR_ADDRCTRLOutput
PIN_78sfc_ADDR[9]Input
PIN_79sfc_ADDR[14]Input
PIN_80dbg_SW[0]Input
PIN_81sfc_ADDR[10]Input
PIN_84sfc_ADDR[13]Input
PIN_85sfc_ADDR[11]Input
PIN_86sfc_ADDR[12]Input
PIN_87sfc_nRAMSEL_REFRESHInput
PIN_88sfc_MCLKInput
PIN_89ram_ADDR[15]Output
PIN_90ram_nWE[3]Output
PIN_91ram_ADDR[14]Output
PIN_92ram_ADDR[13]Output
PIN_93ram_nWE[2]Output
PIN_96ram_ADDR[12]Output
PIN_97dbg_SW[1]Input
PIN_98ram_ADDR[11]Output
PIN_99ram_nWE[1]Output
PIN_100ram_ADDR[10]Output
PIN_101ram_ADDR[9]Output
PIN_102ram_nWE[0]Output
PIN_105ram_ADDR[8]Output
PIN_106ram_nCS[3]Output
PIN_110ram_nCS[2]Output
PIN_111ram_nCS[1]Output
PIN_113ram_nCS[0]Output
PIN_114ram_ADDR[7]Output
PIN_118ram_ADDR[6]Output
PIN_119ram_nOEOutput
PIN_120ram_ADDR[5]Output
PIN_121ram_ADDR[16]Output
PIN_122ram_ADDR[4]Output
PIN_124ram_ADDR[17]Output
PIN_127ram_ADDR[3]Output
PIN_130ram_ADDR[18]Output
PIN_131ram_ADDR[2]Output
PIN_132ram_ADDR[19]Output
PIN_134ram_ADDR[1]Output
PIN_135ram_ADDR[20]Output
PIN_140ram_ADDR[0]Output